#chetanpatil – Chetan Arvind Patil

The Many-Core Architectures Driven By Semiconductor Chiplets

Photo by Ryan Quintal on Unsplash


THE REASONS TO USE SEMICONDUCTOR CHIPLETS FOR MANY-CORE ARCHITECTURES

Computer architecture is a set of design steps that drives the manufacturing of innovative processing units, worldwide known as the processor. These processors follow the rules as defined by the computer architects and the end goal is always to process the data as fast as possible.

To make the next-gen processor efficient than today’s, computer architects focus on improving the data movement. Improving data movement within a processor means enhancing the design of processing units. Processing units are widely known as central processing units or core.

Semiconductor-powered cores (since modern computers always have more than one core) have transformed architectures and have gone through several changes over the last four decades. This means the end processor design has also seen different types of solutions based on the application area.

Single-Core Architecture: Designed for low-power processors. Caters to simple processing tasks without worrying about power or performance.

Multi-Core Architecture: Equipped inside high-performance oriented processors (servers to desktops to mobile) that cater to multiple processing tasks with emphasis on lowering the latency.

Many-Core Architecture: Designed and equipped inside the server (and sometimes desktop too) grade solutions to crunch tons of data in the shortest time possible by balancing throughput and latency. Often has many more cores than Multi-Core architecture.

Single-Core architecture (processor, not controllers) is not in production anymore. Whether it is mobile devices or laptops or servers, all have moved to Multi-Core solutions. However, Multi-core solutions are hitting the design and manufacturing wall. It means computer architectures need to find new avenues to push towards Many-Core architecture, to cater to a wide range of processing requests.

To cater to the need of future processors (built around Many-Core requirements), computer architects have come up with chiplets based designs and manufacturing methodologies. Chiplets provide a way to overcome design and manufacturing walls by diversifying complexity across multiple-die, thus provide more room for features.

Density: Transistor density has only increased without the increase in the silicon area. The reason is the demand of customers to pack more features without compromising on the die size. In reality, transistor shrinking will hit a wall, and that is when Moore’s law will end. For such future scenarios, chiplets provide a way out by spreading the transistors (for a given block) into multiple dies, thus allowing more room for future advanced features without compromising the area and cost aspect. Wrapping chiplets for Many-Core architectures also enable options to crunch data in shorted time possible and is the major reason why performance-oriented XPUs are focusing on chiplets.

Yield: Increasing complexity in a given area has a direct impact on the wafer yield. Low-yielding wafers are also not a piece of good news for the business. By diversifying the complexity into small set in different dies, chiplets provides a way to capture the yield and thus improve the production rate. High-yield is one of the benefits of chiplets and any other disaggregated method. Hence, chiplets are suited for Many-Core architecture.

Characteristics: Power, area, thermal, and performance aspects are a few of the technical characteristics that are critical in making a Many-Core architecture relevant for a specific application. Chiplets provide more area and thermal room and thus driving power and performance to a new level. It is something that Many-Core architectures aim for, and chiplets are a way to improve the power and performance by leveraging the area for better thermal management.

Features: Faster processing, multiple applications, handling complex data are some of the must-have features for Many-Core architectures. Chiplets are a perfect way to enhance features due to the IPs blocks residing on different dies, thus providing more room for management of resources.

Processing: Server grade processors geared towards scientific and research communities have only one goal: process the data as fast as possible. Doing so requires Many-Core architecture that can swiftly take the data in and present the results. Chiplets might (no evidence yet) interconnect and memory bottlenecks, something the majority of the Many-Core architectures suffer. Removing/reducing these two bottlenecks is also directly associated with faster processing.

Next-gen processor advancement is dependent on semiconductor technologies: Technology-node, devices, package-technology, etc. As the semiconductor industry enters the angstrom era (high on cost), there is a dire need to focus on semiconductor solutions (mainly for processor architectures) that provide a path towards a More-Than-Moore world.

While chiplets is one such design and manufacturing solution, it does has positive and negative consequences on the end-to-end semiconductor product development process.


Picture By Chetan Arvind Patil

Picture By Chetan Arvind Patil

THE IMPACT OF USING SEMICONDUCTOR CHIPLETS FOR MANY-CORE ARCHITECTURES

Chiplets provide a lot of flexibility to both the design and manufacturing aspects of the Many-Core architectures. However, all new semiconductor design/manufacturing processes also have an impact (positive and negative) on the overall end-to-end semiconductor product development process.

In the long run, disaggregated chips (chiplets) are supposed to overcome all the challenges faced by aggregated chips. There are several challenges that methodologies like chiplets solve (discussed in the last section), but chiplets adoption also comes up with new (and old) challenges.

What Is Chiplets: Chiplet is a singulated die (out of wafer) of a processing module. Several chiplet combined make up a larger integrated circuit (example: processor) called chiplets.

Many-core architecture built around chiplets will face both technical and business challenges. The only way around these is the continuous research and development activities so that next-gen chiplets overcome some of the following impacts semiconductor chiplets have.

Time: One of the challenges chiplets face is the design and manufacturing time. The design team should ensure that each of the separate chiplet blocks will work as per the specification, more so when chiplet are combined to form chiplets. The same questions arise during the manufacturing time. In the end, both require design and manufacturing processes demand time, which can be an issue as the innovation pace and manufacturing volume increases, thus impact Many-Core chiplet based architecture.

Cost: On the semiconductor manufacturing side, chiplets can be costly (manufacturing) due to the different types of wafers required to bring the single chiplets to life. While the increasing cost can get balanced out due to the high yield, the semiconductor testing and assembling cost will still come into the picture. Even the package-technology required to glue different chiplet might increase the cost of manufacturing, and thus Many-Core architecture following the chiplets approach might end up costing more than today’s Many-Core solutions.

Performance: For a group of chiplet to work, a high-speed bus is required to ensure multiple chiplet can communicate together. While several such high-speed solutions do exist, it can also be the case that as the number of chiplet(processing blocks) increases per chiplets, the performance can degrade due to time added to coordinate tasks among different chiplet. Today, the only handful of chiplets based architecture exits, and applying it to Many-Core might bring performance questions.

Bottlenecks: Chiplets based Many-Core architecture can have lower performance due to the increasing bottleneck (memory and interconnects). The majority of today’s Many-Core architecture already suffer from it. If Many-Core chiplets architecture does not solve this problem, it means an existing problem found its way into the new system.

Complexity: Chiplets designing and manufacturing could bring a lot of complexity. From the design perspective: It will increase the number of simulations, rules (layout), analyses, and validation. From the manufacturing aspect: It simply demands a new supply chain approach. This is due to the fact that multiple wafers (each having one set of chiplet) will be required to ensure the right die from different wafers is glued together to form the end Many-Core system.

Chiplets are a welcome move and will push Many-Core semiconductor design and manufacturing processes. On top of all this, chiplets will drive new semiconductor testing and assembly methods for disaggregated chips.

Several XPU focused semiconductor companies have already shown elegant solutions around chiplets. These new developments are pushing other companies to adopt semiconductor chiplets. All this is only going to bring new types of Many-Core architectures.

In the long race, as the semiconductor industry moves towards the chiplets era, it needs to balance both the positive and negative impact to ensure the end-solution is as at part today’s solutions.


Chetan Arvind Patil

Chetan Arvind Patil

                Hi, I am Chetan Arvind Patil (chay-tun – how to pronounce), a semiconductor professional whose job is turning data into products for the semiconductor industry that powers billions of devices around the world. And while I like what I do, I also enjoy biking, working on few ideas, apart from writing, and talking about interesting developments in hardware, software, semiconductor and technology.

COPYRIGHT 2024, CHETAN ARVIND PATIL

This work is licensed under a Creative Commons Attribution-NonCommercial-ShareAlike 4.0 International License. In other words, share generously but provide attribution.

DISCLAIMER

Opinions expressed here are my own and may not reflect those of others. Unless I am quoting someone, they are just my own views.

MOST POPULAR

RECENT POSTS

Get In

Touch